IIIT-Delhi Institutional Repository

Design of energy e cient future CMPs with on-chip wireless interconnects

Show simple item record

dc.contributor.author Sri Harsha, Gade Narayana
dc.contributor.author Srinivasan, Ashwin (Advisor)
dc.date.accessioned 2014-07-17T06:42:45Z
dc.date.available 2014-07-17T06:42:45Z
dc.date.issued 2014-07-17T06:42:45Z
dc.identifier.uri https://repository.iiitd.edu.in/jspui/handle/123456789/163
dc.description.abstract Power density and interconnect delay have emerged as the biggest challenges for Ultra Large Scale Integration (ULSI) and System-on-Chip (SoC) designs particularly beyond 65nm generation. Leakage power has been traditionally non-critical in CMOS circuits, but with extensive scaling, even it is increasing significantly. Dynamic Voltage/Frequency Scaling (DVFS) has been demonstrated to be one of the e ective ways to reduce the power consumption and power density across the chip. Network-on-Chip (NoC) architectures improve the performance over the traditional bus based architectures. But with increasing chip sizes and long interconnects, the delay due to wired interconnects extend to multiple hops. Long range wireless links in NoC have been proven to improve the latency and energy performance tremendously. In this work, we have designed and implemented a centralized controller that applies DVFS to the processing cores. DVFS techniques reduce power consumption by scaling down voltage and frequency when possible with a little impact on performance. The proposed controller observes current state and utilization of the core and based on past state transitions, predicts the next state to set the voltage and frequency. To further reduce the power consumption, the controller also applies power gating method to the wireless interfaces used in the system. All wireless interfaces that are not in any active communication are put in idle state. The biggest advantage of centralized controller is the less overhead it adds to the system. But the delay associated with control signal transmission, particularly to remote corners of the chip is very high and so affects the performance of controller. To reduce this delay, we propose the use of wireless interface for the same and a dual band transceiver is used for this purpose. The use of wireless interfaces definitely reduces the delay significantly, but the delay values used assume ideal operating conditions. Previous works have shown that the wave propagation on chip deviates largely from ideal scenario and multiple propagation paths and wave components exist. The delay in strongest component is much more than the delay of free space direct wave. Hence the second contribution of the work is analyzing and modeling intra-chip wave propagation mechanisms. A 2D model for on-chip components is developed and using FDTD simulations, different propagation paths and modes are identified. It is observed that the free space direct wave is canceled out and re flections from interconnect layers are the dominant component of the signal. The delay in this component is almost twice the free space delay and is dependent on materials used. Finally it is shown that even with increased delay, wireless interfaces still can outperform the wired interconnects and the delay is within single cycle limits. en_US
dc.language.iso en_US en_US
dc.subject Low Power en_US
dc.subject DVFS en_US
dc.subject Power Gating en_US
dc.subject Wireless en_US
dc.subject Channel Modeling en_US
dc.subject FDTD en_US
dc.title Design of energy e cient future CMPs with on-chip wireless interconnects en_US
dc.type Thesis en_US


Files in this item

This item appears in the following Collection(s)

Show simple item record

Search Repository


Advanced Search

Browse

My Account